Copyrights and Licenses Information
path start end what value
python-myhdl/python-myhdl.spec 8 8 license lgpl-2.0-plus
python-myhdl/myhdl-0.11/LICENSE.txt 1 502 license lgpl-2.1
python-myhdl/myhdl-0.11/README.md 1 502 license lgpl-2.1
python-myhdl/myhdl-0.11/README.md 21 21 license lgpl-2.0-plus
python-myhdl/myhdl-0.11/README.md 21 21 license lgpl-2.0-plus
python-myhdl/myhdl-0.11/README.md 21 21 license unknown-license-reference
python-myhdl/myhdl-0.11/setup.py 46 46 license lgpl-2.0-plus
python-myhdl/myhdl-0.11/setup.py 53 53 license lgpl-2.0
python-myhdl/myhdl-0.11/cosimulation/cver/test/test_all.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/cosimulation/icarus/test/test_all.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/cosimulation/icarus/test/test_gray.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/test_all.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/test_all.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/cosimulation/test/test_all.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/__init__.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_always.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_always_comb.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_always_seq.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_bin.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_block.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_concat.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_Cosimulation.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_delay.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_enum.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_extractHierarchy.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_getHierarchy.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_instance.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_intbv.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_join.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_misc.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_modbv.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_ShadowSignal.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_Signal.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_Simulation.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_simulator.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_traceSignals.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_util.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/_Waiter.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/conversion/_analyze.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/conversion/_misc.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/conversion/_toVerilog.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/conversion/_toVHDL.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/conversion/_toVHDLPackage.py 7 19 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/spec/intbv_spec.txt 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/spec/Signal_spec.txt 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/spec/Simulation_spec.txt 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_intbv_signed.py 7 19 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_always_comb.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_always.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_always_comb.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_bin.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_concat.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_Cosimulation.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_enum.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_inferWaiter.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_instance.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_intbv.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_misc.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_modbv.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_Signal.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_signed.py 7 19 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_Simulation.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/myhdl/test/core/test_traceSignals.py 6 18 license lgpl-2.1-plus
python-myhdl/myhdl-0.11/scripts/benchmark/perf_inferWaiter.py 6 18 license lgpl-2.1-plus
File Information
path type name extension date size sha1 md5 files_count mime_type file_type programming_language is_binary is_text is_archive is_media is_source is_script
python-myhdl directory
python-myhdl/myhdl-0.11-SPECPARTS directory
python-myhdl/myhdl-0.11.tar.gz file
python-myhdl/python-myhdl.spec file
python-myhdl/sources file
python-myhdl/myhdl-0.11 directory
python-myhdl/myhdl-0.11/.hgtags file
python-myhdl/myhdl-0.11/.landscape.yaml file
python-myhdl/myhdl-0.11/.travis.yml file
python-myhdl/myhdl-0.11/CHANGES.txt file
python-myhdl/myhdl-0.11/LICENSE.txt file
python-myhdl/myhdl-0.11/Makefile file
python-myhdl/myhdl-0.11/MANIFEST.in file
python-myhdl/myhdl-0.11/pylintrc file
python-myhdl/myhdl-0.11/README.md file
python-myhdl/myhdl-0.11/setup.cfg file
python-myhdl/myhdl-0.11/setup.py file
python-myhdl/myhdl-0.11/tox.ini file
python-myhdl/myhdl-0.11/.github directory
python-myhdl/myhdl-0.11/.github/ISSUE_TEMPLATE directory
python-myhdl/myhdl-0.11/.github/ISSUE_TEMPLATE/bug_report.md file
python-myhdl/myhdl-0.11/.github/ISSUE_TEMPLATE/feature_request.md file
python-myhdl/myhdl-0.11/.github/ISSUE_TEMPLATE/usage_help.md file
python-myhdl/myhdl-0.11/cosimulation directory
python-myhdl/myhdl-0.11/cosimulation/cver directory
python-myhdl/myhdl-0.11/cosimulation/cver/Makefile.lnx file
python-myhdl/myhdl-0.11/cosimulation/cver/Makefile.lnx64 file
python-myhdl/myhdl-0.11/cosimulation/cver/Makefile.osx file
python-myhdl/myhdl-0.11/cosimulation/cver/myhdl_vpi.c file
python-myhdl/myhdl-0.11/cosimulation/cver/README.txt file
python-myhdl/myhdl-0.11/cosimulation/cver/test directory
python-myhdl/myhdl-0.11/cosimulation/cver/test/bin2gray.py file
python-myhdl/myhdl-0.11/cosimulation/cver/test/dff.py file
python-myhdl/myhdl-0.11/cosimulation/cver/test/dff_clkout.py file
python-myhdl/myhdl-0.11/cosimulation/cver/test/inc.py file
python-myhdl/myhdl-0.11/cosimulation/cver/test/test_all.py file
python-myhdl/myhdl-0.11/cosimulation/icarus directory
python-myhdl/myhdl-0.11/cosimulation/icarus/Makefile file
python-myhdl/myhdl-0.11/cosimulation/icarus/myhdl.c file
python-myhdl/myhdl-0.11/cosimulation/icarus/myhdl.c.20030518 file
python-myhdl/myhdl-0.11/cosimulation/icarus/myhdl_20030518.c file
python-myhdl/myhdl-0.11/cosimulation/icarus/myhdl_table.c file
python-myhdl/myhdl-0.11/cosimulation/icarus/README.txt file
python-myhdl/myhdl-0.11/cosimulation/icarus/test directory
python-myhdl/myhdl-0.11/cosimulation/icarus/test/bin2gray.py file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/dff.py file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/dff_clkout.py file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/inc.py file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/tb_test.v file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/test.py file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/test_all.py file
python-myhdl/myhdl-0.11/cosimulation/icarus/test/test_gray.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim directory
python-myhdl/myhdl-0.11/cosimulation/modelsim/Makefile file
python-myhdl/myhdl-0.11/cosimulation/modelsim/myhdl_vpi.c file
python-myhdl/myhdl-0.11/cosimulation/modelsim/test directory
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/bin2gray.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/cosim.do file
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/dff.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/dff_clkout.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/inc.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim/test/test_all.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win directory
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/Makefile file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/myhdl_vpi.c file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test directory
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/bin2gray.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/cosim.do file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/dff.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/dff_clkout.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/inc.py file
python-myhdl/myhdl-0.11/cosimulation/modelsim-win/test/test_all.py file
python-myhdl/myhdl-0.11/cosimulation/test directory
python-myhdl/myhdl-0.11/cosimulation/test/bin2gray.py file
python-myhdl/myhdl-0.11/cosimulation/test/dff.py file
python-myhdl/myhdl-0.11/cosimulation/test/dff_clkout.py file
python-myhdl/myhdl-0.11/cosimulation/test/inc.py file
python-myhdl/myhdl-0.11/cosimulation/test/test_all.py file
python-myhdl/myhdl-0.11/cosimulation/test/test_bin2gray.py file
python-myhdl/myhdl-0.11/cosimulation/test/test_dff.py file
python-myhdl/myhdl-0.11/cosimulation/test/test_inc.py file
python-myhdl/myhdl-0.11/cosimulation/test/verilog directory
python-myhdl/myhdl-0.11/cosimulation/test/verilog/bin2gray.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/dff.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/dff_clkout.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/dut_bin2gray.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/dut_dff.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/dut_dff_clkout.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/dut_inc.v file
python-myhdl/myhdl-0.11/cosimulation/test/verilog/inc.v file
python-myhdl/myhdl-0.11/doc directory
python-myhdl/myhdl-0.11/doc/Makefile file
python-myhdl/myhdl-0.11/doc/source directory
python-myhdl/myhdl-0.11/doc/source/conf.py file
python-myhdl/myhdl-0.11/doc/source/index.rst file
python-myhdl/myhdl-0.11/doc/source/myhdldoctools.py file
python-myhdl/myhdl-0.11/doc/source/python3.rst file
python-myhdl/myhdl-0.11/doc/source/_static directory
python-myhdl/myhdl-0.11/doc/source/_static/default.css file
python-myhdl/myhdl-0.11/doc/source/_static/myhdl.css file
python-myhdl/myhdl-0.11/doc/source/_static/myhdl_logo_header.png file
python-myhdl/myhdl-0.11/doc/source/_static/placeholder file
python-myhdl/myhdl-0.11/doc/source/_static/pygments.css file
python-myhdl/myhdl-0.11/doc/source/_static/sphinxdoc.css file
python-myhdl/myhdl-0.11/doc/source/_templates directory
python-myhdl/myhdl-0.11/doc/source/_templates/layout.html file
python-myhdl/myhdl-0.11/doc/source/_templates/placeholder file
python-myhdl/myhdl-0.11/doc/source/manual directory
python-myhdl/myhdl-0.11/doc/source/manual/background.rst file
python-myhdl/myhdl-0.11/doc/source/manual/conversion.rst file
python-myhdl/myhdl-0.11/doc/source/manual/conversion_examples.rst file
python-myhdl/myhdl-0.11/doc/source/manual/cosimulation.rst file
python-myhdl/myhdl-0.11/doc/source/manual/highlevel.rst file
python-myhdl/myhdl-0.11/doc/source/manual/hwtypes.rst file
python-myhdl/myhdl-0.11/doc/source/manual/index.rst file
python-myhdl/myhdl-0.11/doc/source/manual/intro.rst file
python-myhdl/myhdl-0.11/doc/source/manual/preface.rst file
python-myhdl/myhdl-0.11/doc/source/manual/reference.rst file
python-myhdl/myhdl-0.11/doc/source/manual/rtl.rst file
python-myhdl/myhdl-0.11/doc/source/manual/structure.rst file
python-myhdl/myhdl-0.11/doc/source/manual/tbfsm.png file
python-myhdl/myhdl-0.11/doc/source/manual/unittest.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew directory
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.10.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.11.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.3.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.4.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.5.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.6.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.7.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.8.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/0.9.rst file
python-myhdl/myhdl-0.11/doc/source/whatsnew/los.png file
python-myhdl/myhdl-0.11/example directory
python-myhdl/myhdl-0.11/example/arith_lib directory
python-myhdl/myhdl-0.11/example/arith_lib/__init__.py file
python-myhdl/myhdl-0.11/example/arith_lib/arith_utils.py file
python-myhdl/myhdl-0.11/example/arith_lib/Dec.py file
python-myhdl/myhdl-0.11/example/arith_lib/LeadZeroDet.py file
python-myhdl/myhdl-0.11/example/arith_lib/PrefixAnd.py file
python-myhdl/myhdl-0.11/example/arith_lib/README.txt file
python-myhdl/myhdl-0.11/example/arith_lib/test_Dec.py file
python-myhdl/myhdl-0.11/example/arith_lib/test_LeadZeroDet.py file
python-myhdl/myhdl-0.11/example/cookbook directory
python-myhdl/myhdl-0.11/example/cookbook/README.txt file
python-myhdl/myhdl-0.11/example/cookbook/bitonic directory
python-myhdl/myhdl-0.11/example/cookbook/bitonic/Array8Sorter.v file
python-myhdl/myhdl-0.11/example/cookbook/bitonic/bitonic.py file
python-myhdl/myhdl-0.11/example/cookbook/bitonic/ori.v file
python-myhdl/myhdl-0.11/example/cookbook/bitonic/tb_Array8Sorter.v file
python-myhdl/myhdl-0.11/example/cookbook/bitonic/test_bitonic.py file
python-myhdl/myhdl-0.11/example/cookbook/bitonic/tmp.v file
python-myhdl/myhdl-0.11/example/cookbook/dff directory
python-myhdl/myhdl-0.11/example/cookbook/dff/dff.py file
python-myhdl/myhdl-0.11/example/cookbook/dff/test_dff.jpg file
python-myhdl/myhdl-0.11/example/cookbook/dffa directory
python-myhdl/myhdl-0.11/example/cookbook/dffa/dffa.py file
python-myhdl/myhdl-0.11/example/cookbook/dffa/test_dffa.jpg file
python-myhdl/myhdl-0.11/example/cookbook/johnson directory
python-myhdl/myhdl-0.11/example/cookbook/johnson/jc2.py file
python-myhdl/myhdl-0.11/example/cookbook/johnson/jc2_alt.py file
python-myhdl/myhdl-0.11/example/cookbook/johnson/test_jc2.py file
python-myhdl/myhdl-0.11/example/cookbook/latch directory
python-myhdl/myhdl-0.11/example/cookbook/latch/latch.py file
python-myhdl/myhdl-0.11/example/cookbook/latch/test_latch.jpg file
python-myhdl/myhdl-0.11/example/cookbook/sinecomp directory
python-myhdl/myhdl-0.11/example/cookbook/sinecomp/SineComputer.py file
python-myhdl/myhdl-0.11/example/cookbook/sinecomp/test_SineComputer.py file
python-myhdl/myhdl-0.11/example/cookbook/stopwatch directory
python-myhdl/myhdl-0.11/example/cookbook/stopwatch/bcd2led.py file
python-myhdl/myhdl-0.11/example/cookbook/stopwatch/seven_segment.py file
python-myhdl/myhdl-0.11/example/cookbook/stopwatch/StopWatch.py file
python-myhdl/myhdl-0.11/example/cookbook/stopwatch/test_bcd2led.py file
python-myhdl/myhdl-0.11/example/cookbook/stopwatch/test_TimeCount.py file
python-myhdl/myhdl-0.11/example/cookbook/stopwatch/TimeCount.py file
python-myhdl/myhdl-0.11/example/manual directory
python-myhdl/myhdl-0.11/example/manual/bin2gray.py file
python-myhdl/myhdl-0.11/example/manual/bin2gray.v file
python-myhdl/myhdl-0.11/example/manual/bin2gray.vhd file
python-myhdl/myhdl-0.11/example/manual/bin2gray2.py file
python-myhdl/myhdl-0.11/example/manual/bin2gray_dummy.py file
python-myhdl/myhdl-0.11/example/manual/bin2gray_wrong.py file
python-myhdl/myhdl-0.11/example/manual/ClkDriver.py file
python-myhdl/myhdl-0.11/example/manual/conv_inc.py file
python-myhdl/myhdl-0.11/example/manual/convert_bin2gray.py file
python-myhdl/myhdl-0.11/example/manual/convert_gray_inc_reg.py file
python-myhdl/myhdl-0.11/example/manual/convert_inc.py file
python-myhdl/myhdl-0.11/example/manual/custom.py file
python-myhdl/myhdl-0.11/example/manual/fifo.py file
python-myhdl/myhdl-0.11/example/manual/FramerCtrl.v file
python-myhdl/myhdl-0.11/example/manual/FramerCtrl.vhd file
python-myhdl/myhdl-0.11/example/manual/fsm.py file
python-myhdl/myhdl-0.11/example/manual/fsm2.py file
python-myhdl/myhdl-0.11/example/manual/fsm3.py file
python-myhdl/myhdl-0.11/example/manual/gray_inc.py file
python-myhdl/myhdl-0.11/example/manual/gray_inc_reg.py file
python-myhdl/myhdl-0.11/example/manual/gray_inc_reg.v file
python-myhdl/myhdl-0.11/example/manual/gray_inc_reg.vhd file
python-myhdl/myhdl-0.11/example/manual/GrayInc.py file
python-myhdl/myhdl-0.11/example/manual/greetings.py file
python-myhdl/myhdl-0.11/example/manual/hec.py file
python-myhdl/myhdl-0.11/example/manual/Hello.py file
python-myhdl/myhdl-0.11/example/manual/hello1.py file
python-myhdl/myhdl-0.11/example/manual/hello2.py file
python-myhdl/myhdl-0.11/example/manual/inc.py file
python-myhdl/myhdl-0.11/example/manual/inc.v file
python-myhdl/myhdl-0.11/example/manual/inc.vhd file
python-myhdl/myhdl-0.11/example/manual/inc_comb.v file
python-myhdl/myhdl-0.11/example/manual/inc_comb.vhd file
python-myhdl/myhdl-0.11/example/manual/Makefile file
python-myhdl/myhdl-0.11/example/manual/mux.py file
python-myhdl/myhdl-0.11/example/manual/mux2.py file
python-myhdl/myhdl-0.11/example/manual/next_gray_code.py file
python-myhdl/myhdl-0.11/example/manual/pck_myhdl_07.vhd file
python-myhdl/myhdl-0.11/example/manual/pck_myhdl_10.vhd file
python-myhdl/myhdl-0.11/example/manual/queue.py file
python-myhdl/myhdl-0.11/example/manual/ram.py file
python-myhdl/myhdl-0.11/example/manual/ram.vhd file
python-myhdl/myhdl-0.11/example/manual/ram_1.v file
python-myhdl/myhdl-0.11/example/manual/rom.py file
python-myhdl/myhdl-0.11/example/manual/rom.v file
python-myhdl/myhdl-0.11/example/manual/rom.vhd file
python-myhdl/myhdl-0.11/example/manual/rs232.py file
python-myhdl/myhdl-0.11/example/manual/run_all.py file
python-myhdl/myhdl-0.11/example/manual/shadow.py file
python-myhdl/myhdl-0.11/example/manual/sparseMemory.py file
python-myhdl/myhdl-0.11/example/manual/test_bin2gray.py file
python-myhdl/myhdl-0.11/example/manual/test_fsm.py file
python-myhdl/myhdl-0.11/example/manual/test_gray_original.py file
python-myhdl/myhdl-0.11/example/manual/test_gray_properties.py file
python-myhdl/myhdl-0.11/example/manual/test_inc.py file
python-myhdl/myhdl-0.11/example/manual/test_mux.py file
python-myhdl/myhdl-0.11/example/rs232 directory
python-myhdl/myhdl-0.11/example/rs232/README.txt file
python-myhdl/myhdl-0.11/example/rs232/rs232_rx.py file
python-myhdl/myhdl-0.11/example/rs232/rs232_tx.py file
python-myhdl/myhdl-0.11/example/rs232/rs232_util.py file
python-myhdl/myhdl-0.11/example/rs232/test_rs232.py file
python-myhdl/myhdl-0.11/example/uart_tx directory
python-myhdl/myhdl-0.11/example/uart_tx/uart_tx.py file
python-myhdl/myhdl-0.11/myhdl directory
python-myhdl/myhdl-0.11/myhdl/__init__.py file
python-myhdl/myhdl-0.11/myhdl/_always.py file
python-myhdl/myhdl-0.11/myhdl/_always_comb.py file
python-myhdl/myhdl-0.11/myhdl/_always_seq.py file
python-myhdl/myhdl-0.11/myhdl/_bin.py file
python-myhdl/myhdl-0.11/myhdl/_block.py file
python-myhdl/myhdl-0.11/myhdl/_compat.py file
python-myhdl/myhdl-0.11/myhdl/_concat.py file
python-myhdl/myhdl-0.11/myhdl/_Cosimulation.py file
python-myhdl/myhdl-0.11/myhdl/_delay.py file
python-myhdl/myhdl-0.11/myhdl/_enum.py file
python-myhdl/myhdl-0.11/myhdl/_extractHierarchy.py file
python-myhdl/myhdl-0.11/myhdl/_getcellvars.py file
python-myhdl/myhdl-0.11/myhdl/_getHierarchy.py file
python-myhdl/myhdl-0.11/myhdl/_instance.py file
python-myhdl/myhdl-0.11/myhdl/_intbv.py file
python-myhdl/myhdl-0.11/myhdl/_join.py file
python-myhdl/myhdl-0.11/myhdl/_misc.py file
python-myhdl/myhdl-0.11/myhdl/_modbv.py file
python-myhdl/myhdl-0.11/myhdl/_resolverefs.py file
python-myhdl/myhdl-0.11/myhdl/_ShadowSignal.py file
python-myhdl/myhdl-0.11/myhdl/_Signal.py file
python-myhdl/myhdl-0.11/myhdl/_Simulation.py file
python-myhdl/myhdl-0.11/myhdl/_simulator.py file
python-myhdl/myhdl-0.11/myhdl/_traceSignals.py file
python-myhdl/myhdl-0.11/myhdl/_tristate.py file
python-myhdl/myhdl-0.11/myhdl/_util.py file
python-myhdl/myhdl-0.11/myhdl/_visitors.py file
python-myhdl/myhdl-0.11/myhdl/_Waiter.py file
python-myhdl/myhdl-0.11/myhdl/debug.py file
python-myhdl/myhdl-0.11/myhdl/conversion directory
python-myhdl/myhdl-0.11/myhdl/conversion/__init__.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_analyze.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_misc.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_toVerilog.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_toVHDL.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_toVHDLPackage.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_verify.py file
python-myhdl/myhdl-0.11/myhdl/conversion/_VHDLNameValidation.py file
python-myhdl/myhdl-0.11/myhdl/experiments directory
python-myhdl/myhdl-0.11/myhdl/experiments/_simrunc.c file
python-myhdl/myhdl-0.11/myhdl/spec directory
python-myhdl/myhdl-0.11/myhdl/spec/intbv_spec.txt file
python-myhdl/myhdl-0.11/myhdl/spec/Signal_spec.txt file
python-myhdl/myhdl-0.11/myhdl/spec/Simulation_spec.txt file
python-myhdl/myhdl-0.11/myhdl/test directory
python-myhdl/myhdl-0.11/myhdl/test/conftest.py file
python-myhdl/myhdl-0.11/myhdl/test/helpers.py file
python-myhdl/myhdl-0.11/myhdl/test/README.txt file
python-myhdl/myhdl-0.11/myhdl/test/bugs directory
python-myhdl/myhdl-0.11/myhdl/test/bugs/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/bugs/README.txt file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_1740778.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_1835792.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_1835797.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_1837003.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_28.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_3529686.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_3577799.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_39.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_42.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_42_2.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_43.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_44.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_aj1s.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_boolconst.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_boolop.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_enum_toVHDL.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_bug_enum_toVHDL_2.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_10.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_104.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_10_2.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_117.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_122.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_127.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_13.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_133.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_134.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_167.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_169.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_18.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_185.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_40.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_9.py file
python-myhdl/myhdl-0.11/myhdl/test/bugs/test_issue_98.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion directory
python-myhdl/myhdl-0.11/myhdl/test/conversion/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general directory
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/README.txt file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_adapter.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_bin2gray.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_case.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_class_defined_signals.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_constants.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_dec.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_errors.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_fsm.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_hec.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_inc.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_initial_values.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_intbv_signed.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_interfaces1.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_interfaces2.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_interfaces3.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_interfaces4.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_listofsigs.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_loops.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_method.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_nonlocal.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_numass.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_print.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_ram.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_randscrambler.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_rom.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_set_dir.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_ShadowSignal.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_ternary.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_toplevel_interfaces.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/general/test_toplevel_method.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog directory
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/README.txt file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_always_comb.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_beh.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_bin2gray.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_bugreports.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_custom.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_dec.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_edge.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_errors.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_fsm.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_GrayInc.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_hec.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_inc.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_inc_initial.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_infer.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_loops.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_misc.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_newcustom.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_not_supported_py2.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_NotSupported.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_ops.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_ram.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_RandomScrambler.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_rom.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_signed.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/test_tristate.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog/util.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog2 directory
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog2/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog2/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog2/README.txt file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVerilog2/test_loops.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL directory
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/README.txt file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_custom.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_enum.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_keywords.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_loops.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_newcustom.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_ops.py file
python-myhdl/myhdl-0.11/myhdl/test/conversion/toVHDL/test_signed.py file
python-myhdl/myhdl-0.11/myhdl/test/core directory
python-myhdl/myhdl-0.11/myhdl/test/core/__init__.py file
python-myhdl/myhdl-0.11/myhdl/test/core/Makefile file
python-myhdl/myhdl-0.11/myhdl/test/core/setuptools-20.3.1.zip file
python-myhdl/myhdl-0.11/myhdl/test/core/test_always.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_always_comb.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_always_seq.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_bin.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_concat.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_Cosimulation.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_enum.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_inferWaiter.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_instance.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_intbv.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_misc.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_modbv.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_ShadowSignal.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_Signal.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_signed.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_Simulation.py file
python-myhdl/myhdl-0.11/myhdl/test/core/test_traceSignals.py file
python-myhdl/myhdl-0.11/scripts directory
python-myhdl/myhdl-0.11/scripts/ci.sh file
python-myhdl/myhdl-0.11/scripts/benchmark directory
python-myhdl/myhdl-0.11/scripts/benchmark/convert.py file
python-myhdl/myhdl-0.11/scripts/benchmark/cvcrun.sh file
python-myhdl/myhdl-0.11/scripts/benchmark/cvcstats.dat file
python-myhdl/myhdl-0.11/scripts/benchmark/glibc_random.py file
python-myhdl/myhdl-0.11/scripts/benchmark/jitrun.sh file
python-myhdl/myhdl-0.11/scripts/benchmark/jitstats.dat file
python-myhdl/myhdl-0.11/scripts/benchmark/lfsr24.py file
python-myhdl/myhdl-0.11/scripts/benchmark/long_divider.py file
python-myhdl/myhdl-0.11/scripts/benchmark/Makefile file
python-myhdl/myhdl-0.11/scripts/benchmark/perf_inferWaiter.py file
python-myhdl/myhdl-0.11/scripts/benchmark/pypyrun.sh file
python-myhdl/myhdl-0.11/scripts/benchmark/pypystats.dat file
python-myhdl/myhdl-0.11/scripts/benchmark/random_generator.py file
python-myhdl/myhdl-0.11/scripts/benchmark/run.do file
python-myhdl/myhdl-0.11/scripts/benchmark/run.sh file
python-myhdl/myhdl-0.11/scripts/benchmark/run_rand.sh file
python-myhdl/myhdl-0.11/scripts/benchmark/stats.dat file
python-myhdl/myhdl-0.11/scripts/benchmark/test_findmax.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_findmax_sigs.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_lfsr24.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_10.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_11.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_12.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_13.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_14.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_15.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_16.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_17.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_18.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_longdiv_9.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_randgen.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_timer.py file
python-myhdl/myhdl-0.11/scripts/benchmark/test_timer_array.py file
python-myhdl/myhdl-0.11/scripts/benchmark/testrun.sh file
python-myhdl/myhdl-0.11/scripts/benchmark/teststats.dat file
python-myhdl/myhdl-0.11/scripts/benchmark/timer.py file
Holders
path holder start end
Authors
path Author start end
Emails
path email start end
Urls
path url start end
License References
key short_name category owner scancode_url licensedb_url homepage_url text_urls spdx_license_key spdx_url
free-unknown Free unknown Unstated License Unspecified https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/free-unknown.LICENSE https://scancode-licensedb.aboutcode.org/free-unknown None LicenseRef-scancode-free-unknown https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/free-unknown.LICENSE
lgpl-2.0 LGPL 2.0 Copyleft Limited Free Software Foundation (FSF) https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/lgpl-2.0.LICENSE https://scancode-licensedb.aboutcode.org/lgpl-2.0 http://www.gnu.org/licenses/old-licenses/lgpl-2.0.html http://www.gnu.org/licenses/lgpl-2.0.html http://www.gnu.org/licenses/lgpl-2.0.txt http://www.gnu.org/licenses/old-licenses/lgpl-2.0.txt http://www.gnu.org/licenses/old-licenses/library.txt LGPL-2.0-only https://spdx.org/licenses/LGPL-2.0-only
lgpl-2.0-plus LGPL 2.0 or later Copyleft Limited Free Software Foundation (FSF) https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/lgpl-2.0-plus.LICENSE https://scancode-licensedb.aboutcode.org/lgpl-2.0-plus http://www.gnu.org/licenses/old-licenses/lgpl-2.0.html http://www.gnu.org/licenses/old-licenses/lgpl-2.0-standalone.html LGPL-2.0-or-later https://spdx.org/licenses/LGPL-2.0-or-later
lgpl-2.1 LGPL 2.1 Copyleft Limited Free Software Foundation (FSF) https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/lgpl-2.1.LICENSE https://scancode-licensedb.aboutcode.org/lgpl-2.1 http://www.gnu.org/licenses/lgpl-2.1.html http://www.gnu.org/licenses/lgpl-2.1.txt LGPL-2.1-only https://spdx.org/licenses/LGPL-2.1-only
lgpl-2.1-plus LGPL 2.1 or later Copyleft Limited Free Software Foundation (FSF) https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/lgpl-2.1-plus.LICENSE https://scancode-licensedb.aboutcode.org/lgpl-2.1-plus http://www.gnu.org/licenses/old-licenses/lgpl-2.1-standalone.html http://www.gnu.org/licenses/old-licenses/lgpl-2.1-standalone.html LGPL-2.1-or-later https://spdx.org/licenses/LGPL-2.1-or-later
unknown-license-reference Unknown License reference Unstated License Unspecified https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/unknown-license-reference.LICENSE https://scancode-licensedb.aboutcode.org/unknown-license-reference None LicenseRef-scancode-unknown-license-reference https://github.com/nexB/scancode-toolkit/tree/develop/src/licensedcode/data/licenses/unknown-license-reference.LICENSE